作者投稿和查稿 主编审稿 专家审稿 编委审稿 远程编辑
台积电:明年3nm试产、2nm研发中心落成,8000名科学家和工程师将入驻

台积电:明年3nm试产、2nm研发中心落成,

8000名科学家和工程师将入驻

台积电 2020 年技术论坛于 8 25 日首度以线上方式举办,CEO魏哲家在论坛中有几个重磅宣布,包括 3nm 制程预计在明年试产,以及 2nm 技术研发中心将落户新竹,同时也宣布台积电全新晶圆级系统整合平台 3DFabric 问世。

魏哲家领军多位台积电的高管,在技术论坛中,无论是高端制程演进、制程材料运用、特色工艺发展、系统整合封装技术、生产规划等各个环节,都进行非常详细的揭露。

问芯Voice 以该会议中的七大重点,包含 7nm5nm3nm2nm 等四大先进制程技术的最新规划,以及特色工艺与先进封装技术的最新进度来进行分享。

第一,7nm 制程技术出货量达到 10 亿颗里程碑

魏哲家表示,台积电开发的 7nm 制程技术已经广泛运用在行动装置、高性能运算、5G、网络连接、智慧车、数据中心等应用,累计出货量已经达到 10 亿颗的里程碑。

他强调,7nm 的成功离不开晶圆十五厂(Fab 15)的奥援,且 Fab 15 不但让 7nm 制程快速量产,更在 7nm 制程量产一年后,就开始量产第二代使用 EUV 技术的 7nm plus 加强版(N7+)技术,而台积电的 N7 + 技术更是全球第一个商业运转的 EUV 技术。

第二,更多 EUV 技术,台积电 5nm 制程加强版(N5P)明年量产

台积电的 5nm 制程与上一代 7nm 制程相比,无论在速度、功耗、逻辑密度上都有显著提升与改善。其中,5nm 相较 7nm 在速度方面增加 15%、功耗降低 30%、逻辑密度提升 80%5nm 制程的改良版是 N5P,会更为广泛地使用 EUV 微影技术,目标是 N5P 制程在 2021 年进入量产。

台积电的 5nm 制程重镇是晶圆十八厂(Fab 18),是第一座生产 5nm 制程的晶圆厂,也是全球最先进的半导体晶圆厂。

台积电也持续以 N5 为基础,开发出 4nm(制程技术,4nm 制程在速度、功耗、密度上都持续改善。4nm 5nm 制程比较,在 IP 上有 100% 兼容性,可延续 5nm 既有的设计基础架构,优势是让客户创新脚步更加快。根据台积电计划,4nm 将于 2021 年第四季进入生产。

第三,台积电 3nm 预计 2021 年试产,2022 年下半进入量产

台积电的 3nm 制程与 5nm 制程相比较,速度提升 15%、功耗降低 30%、逻辑密度增加 70%

魏哲家表示,台积电 3nm 制程预计在 2021 年进行试产,2022 年下半进入量产。与此同时,正在与客户密切合作,定义 3nm 之后的下个主要节点的技术规格和进度。

第四,台积电 2nm 制程研发和生产,确定落脚大本营新竹

台积电也在这次的论坛中宣布,2nm 研发中心将落脚新竹。未来的研发中心第一期(R1)已经开始兴建,会是 2nm 制程技术的研发基地,R1 会在 2021 年完工; 另外,2nm 制程厂区也将落脚新竹宝山第二期计划用地。

台积电业务开发资深副总张晓强表示,公司每年投入研发经费持续增加,2019 年的研发经费高达 30 亿美元,新研发中心会有一条全球半导体研发生产线,预计明年完成,容纳 8000 个科学家和工程师。

张晓强进一步表示,从三年前台积领先全球进入 7nm 制程时代,已经成为今日 AI5G 的中间技术。目前 7nm 已经有超过 140 NTO,生产 10 亿颗的芯片,目前多数的 5G 手机都是以台积电的 7nm 制程打造。

台积电成功量产使用 EUV 几台的 7nm 技术后,6nm 将是以 7nm 制程为基础打造,将有更多的 EUV layers6nm 制程已经试产,最大好处是与 7nm 制程兼容性高,设计可以直接转过来,确保客户的高端制成投资可以持续沿用。

原本业界期待,台积电会在技术论坛中宣布 2nm 架构会延续鳍式场效晶体管 FinFET?或是转用环绕闸极技术 GAA

由于三星抢先宣布 3nm 制程技术将采用更新一代的 GAA 架构,取代现有的 FinFET,市场曾经揣测英特尔、台积电也会如此选择。不过,台积电已经表态,3nm 制程将沿用目前的 FinFET 架构。

业界讨论,台积电可能会在 2nm 制程转进 GAA,在这次技术论坛中宣布。不过,魏哲家仅表示,正与客户密切合作定义 3nm 之后的下个主要节点的技术规格。显然是还没有掀开下一代技术架构的底牌。

第五,台积电宣布第一个特色工艺进入 FinFET 制程:N12e

特色工艺上占台积电的总产能比重逐年上升,预计今年特色工艺占比将从 2015 年的 38%,大幅成长至 54%

魏哲家提到,现在的随身携带装置除了联网功能要强大外,也需要更多的智能来处理大量数据,并能符合低功耗的需求,因此台积电也推出 12nm 改良版的 N12e

N12e 技术是第一个特色工艺放在 FinFET 制程上。该制程优势是有更低功耗、保有高性能运算能力,且在没有牺牲速度与逻辑密度的前提下,进一步提升电源效率。此外,也支持超低漏电装置,超低 VDD 设计、可低至 0.4V 以下,是业界重大突破。

台积电特色工艺业务发展资深总监刘信生表示,特色工艺在半导体生态中,是不可或缺的一部分,但过往特色工艺给人进步比较慢的错觉。而台积电的技术发展中,很多高端工艺、新的产品应用,都需要特色工艺一起相辅相成,掌握更多机会。

去年,台积电共有 1270 万片的 8 吋晶圆出货,估计 2009 年~2019 年的年复合成长率为 17%。再者,台积电在特色工艺上有 MEMSCISembedded NVM、射频 RF、模拟、High VoltageBCD Power-IC 等。

针对 AIOT edge AI 两大趋势应用,台积电的低功耗平台从 55ULP/40ULP22ULP/22ULL,现在也发展推出了 N12e 平台。这也是第一次在特色工艺上,有自己独特的名字和标志,也是第一个特色工艺放在 FinFET 上。

在射频 RF 技术上,目前遇到最大挑战是 5G 和毫米波。因为在功耗上,5G 都比 4G 多了 50% 以上,如何解决问题成关键。

在新式存储技术方面,台积电持续将 RRAM MRAM 用于逻辑制程中。

刘信生表示,RRAM 已经进入早期生产,tape-out 上也有斩获,主要是 22nm 40nm RRAM,应用在物联网产品上,用来取代嵌入式闪存 embedded Flash,目前已进入早期量产中。

针对 MRAM 是全新技术,该技术也是发展很多年,台积电很看好未来的潜力,目前已 22nm 40nm 为主,主要用在物联网产品上,也是用来取代嵌入式闪存 embedded Flash 应用。

在电源管理芯片 PMIC 上,近来因为 5G 手机相较 4G 手机对于 PMIC 芯片的使用量倍数成长,成为业界非常重要产品。

刘信生指出,台积电的 PMIC 产品不会是 discrete 元件或是简单的逻辑产品,都会是包含 MCUDSP 主控的 Smart PMIC”。

过去台积电的 PMIC 主要在 8 吋晶圆厂的 0.18 微米制程,现在 PMIC 产品在 12 吋的 0.13 微米也开发完成,并且同步开发 12 寸的 90nm BCD+55nm BCD40ULP BCD 三个制程技术,其中 40ULP 制程还有包含 RRAM 选择。再者,PMIC 进入 12 寸后,会有三种不同制程技术,也是因应 Smart PMIC 不同的需求。

CIS 技术上,提供 90nm65nm45nm 制程,甚至是 28nm 制程技术也在开发。

另一个焦点就是 OLED 显示屏驱动芯片是另一个重要趋势。显示屏驱动芯片的技术跨界很大,一般显示屏和 OLED 显示屏的价差十倍以上,驱动芯片的价差也很大。台积电在驱动芯片提供的技术从 8 寸到 12 寸的 80nm55nm40nm28nm 高压制程技术,最新是 28nm HKMG 技术。

第六,台积电全新先进晶圆级系统整合平台 3DFabric 问世

半导体 2D 微缩不足以支持系统整合需求,台积电也证实 3D IC 技术是一条可行道路,能同时满足系统效能、缩小面积和整合不同功能,目前提供的服务,是从晶圆堆叠到先进封装技术一应俱全。

台积电研究发展系统整合技术副总余振华指出,之前提出的破坏式技术创新平台,有熟知的先进后段 3D 封装 InFO CoWoS,都已广泛运用数据中心、人工智能、行动装置等,超过 100 种产品,实现超越摩尔平台。该平台还包含更具弹性的 TSMC-SoIC,是 3D 堆叠技术,在半导体前段堆叠时执行,可以提供芯片更紧密的连接,协助摩尔定律延续。随着前段 3D 与后段 3D 创新,台积电不断提供最先进的异质系统整合平台,也宣布以 TSMC-3DFabric 来代表台积电先进晶圆级系统整合技术平台。

前段 SoIC 整合,分别代表前段 CoWChip on Wafer)芯片堆叠在晶圆上,或是 WoWWafer on Wafer)晶圆堆叠在晶圆上。后段整合中,InFO 代表 Chip first 堆叠,而 CoWoS 则代表 Chip last 堆叠。再者,InFO-R 使用 RDL 做为中介层,InFO-L 则是在 InFO-R 中,再加上局部的硅中介层。同理,CoWoS-S 是原先使用的硅中介层,CoWoS-R 使用 RDL 组为中介层,CoWoS-L 是局部的硅中介层。

TSMC-SoIC 方面,这是台积电自行研发的接合技术,以最近距离整合芯片,达到高频宽、高功效,且紧密堆叠的效果。

SoIC 也可提供弹性的堆叠方式,例如 face-to-faceF2F/ face-to-backF2B)、 Chip on WaferCoW/ Wafer on WaferWoW),以及 Logic on LogicLoL/ Logic on MemoryLoM)这几种选择,目的在于释放最大设计创意。

再者,比较传统 3DIC 使用微凸块堆叠(micro bump)和新的 SOIC 堆叠,新的 SOIC 堆叠在散热上有很好的改善,估计改善将近 35%

第七,台积电 7nm 产能三年增三倍,5nm 也预计再增三倍

台积电营业组织资深副总秦永沛表示,公司 2016 年~2020 年的产能复合式成长率为 28%。其中,2018 年领先全球导入 7nm 制程后,2020 年在 7nm 制程的产能与 2018 年相比,大幅成长 3.5 倍。

目前 5nm 制程开始大量产出,预计 2022 年与今年相比,5nm产能也将成长三倍。

秦永沛也指出,从 EUV 量产角度来看,台积电 EUV 机台数量约占全球的 5 成,EUV 晶圆移动 (wafer move) 占全球比重超过 60%

发布日期:2020825

来源:问芯Voice      




发布日期: 2020-09-02    访问总数: 104