[1] SMITH J E,PLESZKUN A R.Implementing precise interrupts in pipelined processors[J].IEEE Transactions on Computers,1988,37(5):562-573. [2] CRISTAL A.Kilo-instruction processors:overcoming the memory wall[J].IEEE Micro,2005,25(3):48-57. [3] KARKHANIS T,SMITH J E,BOSE P.Saving energy with just in time instruction delivery[C]//Proceedings of International Symposium on Low Power Electronics and Design.Washington D.C.,USA:IEEE Press,2002:178-183. [4] PALACHARLA S,JOUPPI N P,SMITH J E.Complexity-effective superscalar processors[C]//Proceedings of the 24th Annual International Symposium on Computer Architecture.Washington D.C.,USA:IEEE Press,1997:206-218. [5] MUTLU O,STARK J,WILKERSON C,et al.Runahead execution:an alternative to very large instruction windows for out-of-order processors[C]//Proceedings of the 9th International Symposium on High-Performance Computer Architecture.Washington D.C.,USA:IEEE Press,2003:129-140. [6] PETIT S,UBAL R,SAHUQUILLO J,et al.An efficient low-complexity alternative to the ROB for out-of-order retirement of instructions[C]//Proceedings of the 12th Euromicro Conference on Digital System Design,Architectures,Methods and Tools.Washington D.C.,USA:IEEE Press,2009:635-642. [7] AFRAM F,ZENG H,GHOSE K.A group-commit mechanism for ROB based processors implementing the X86 ISA[C]//Proceedings of the 19th International Symposium on High Performance Computer Architecture.Washington D.C.,USA:IEEE Press,2013:47-58. [8] BALASUBRAMONIAN R,DWARKADAS S.Reducing the complexity of the register file in dynamic superscalar processors[C]//Proceedings of the 34th ACM/IEEE Inter-national Symposium on Microarchitecture.Washington D.C.,USA:IEEE Press,2001:237-248. [9] SUN Caixia,SUI Bingcai,WANG Lei,et al.Counters based performance analysis and optimization of an out-of-order superscalar processor core[J].Journal of National University of Defense Technology,2016,38(5):14-19.(in Chinese)孙彩霞,隋兵才,王蕾,等.乱序超标量处理器核的性能分析与优化[J].国防科技大学学报,2016,38(5):14-19. [10] MARTI S P,BORRAS J S,RODRIGUZE P L,et al.A complexity-effective out-of-order retirement micro-architecture[J].IEEE Transactions on Computers,2009,58(12):1626-1639. [11] PONOMAREV D,KUCUK G,GHOSE K.Dynamic resizing of superscalar datapath components for energy efficiency[J].IEEE Transactions on Computers,2006,55(2):199-213. [12] LI Cunlu,DONG Dezun,LU Zhonghai,et al.ROB-Router:a reorder buffer enabled low latency network-on-chip router[J].IEEE Transactions on Parallel and Distributed Systems,2018,29(9):2090-2104. [13] ZHANG S Z,WRIGHT A,BOURGEAT T,et al.Com-posable building blocks to open up processor design[C]//Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture.Washington D.C.,USA:IEEE Press,2018:68-81. [14] SARTORI M L L,CALAZANS N L V.Go functional model for a RISC-V asynchronous organization-ARV[C]//Proceedings of the 24th IEEE International Conference on Electronics,Circuits and Systems.Washington D.C.,USA:IEEE Press,2017:381-348. [15] LI Cunlu,DONG Dezun,LIAO Xingke,et al.ROB-Router:low latency network-on-chip router micro-architecture using reorder buffer[C]//Proceedings of the 24th Annual Symposium on High-Performance Inter-connects.Washington D.C.,USA:IEEE Press,2016:68-75. [16] BELL G B,LIPASTI M H.Deconstructing commit[C]//Proceedings of IEEE International Symposium on Perfor-mance Analysis of Systems and Software.Washington D.C.,USA:IEEE Press,2004:68-77. [17] LEE K,JEONG I,RO W W.Parallel in-order execution architecture for low-power processor[C]//Proceedings of International SoC Design Conference.Washington D.C.,USA:IEEE Press,2017:65-66. [18] KUCUK G,PONOMAREV D V,ERGIN O,et al.Complexity-effective reorder buffer designs for superscalar processors[J].IEEE Transactions on Computers,2004,53(6):653-665. [19] XI S L,JACOBSON H,BOSE P,et al.Quantifying sources of error in McPAT and potential impacts on architectural studies[C]//Proceedings of the 21st International Sym-posium on High Performance Computer Architecture.Washington D.C.,USA:IEEE Press,2015:577-589. [20] JEONG I,LEE C,KIM K,et al.OverCome:coarse-grained instruction commit with handover register renaming[J].IEEE Transactions on Computers,2019,68(12):1802-1816. [21] DAVID W W.Limits of instruction-level parallelism[C]//Proceedings of the 4th International Conference on Architectural Support for Programming Languages and Operating Systems.New York,USA:ACM Press,1991:176-188. |