[1] |
CHOI K.Coarse-grained reconfigurable array:architecture and application mapping[J].IPSJ Transactions on System LSI Design Methodology,2011,4:31-46.
|
[2] |
ZHOU Li.Efficient reconfigurable array computing:research on architecture,design method and program mapping technology[D].Changsha:National University of Defense Technology,2014.(in Chinese)周理.高效可重构阵列计算:体系结构,设计方法与程序映射技术研究[D].长沙:国防科技大学,2014.
|
[3] |
GOVINDARAJU V,HO C H,NOWATZKI T,et al.DySER:unifying functionality and parallelism specialization for energy-efficient computing[J].IEEE Micro,2012,32(5):38-51.
|
[4] |
HAN K,AHN J,CHOI K.Power-efficient predication techniques for acceleration of control flow execution on CGRA[J].ACM Transactions on Architecture and Code Optimization,2013,10(2):1-5.
|
[5] |
CHARITOPOULOS G,VATSOLAKIS C,CHRYSOS G,et al.A decoupled access-execute architecture for reconfigurable accelerators[C]//Proceedings of the 15th ACM International Conference on Computing Frontiers.New York,USA:ACM Press,2018:244-247.
|
[6] |
TAJAMMUL M A,JAFRI S M A H,HEMANI A,et al.TransMem:a memory architecture to support dynamic remapping and parallelism in low power high performance CGRAs[C]//Proceedings of the 26th International Workshop on Power and Timing Modeling,Optimization and Simulation.Washington D.C.,USA:IEEE Press,2016:92-99.
|
[7] |
SMITH J E.Decoupled access/execute computer archi-tectures[J].ACM SIGARCH Computer Architecture News,1984,2(3):289-308.
|
[8] |
WEBER A,TRAN K A,KAXIRAS S,et al.Decoupled access-execute on ARM big.LITTLE[EB/OL].[2019-11-01].https://www.researchgate.net/profile/Alexandra_Jimborean/publication/312550922_Decoupled_Access-Execute_on_ARM_bigLITTLE/links/58b6bb5a92851c471d47127a/Decoupled-Access-Execute-on-ARM-bigLITTLE.pdf.
|
[9] |
PRABHAKAR R,ZHANG Y,KOEPLINGER D,et al.Plasticine:a reconfigurable architecture for parallel paterns[C]//Proceedings of ACM/IEEE International Symposium on Computer Architecture.Washington D.C.,USA:IEEE Press,2017:1-5.
|
[10] |
CONG J,HUANG H,MA C,et al.A fully pipelined and dynamically composable architecture of CGRA[C]//Proceedings of the 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.Washington D.C.,USA:IEEE Press,2014:1-5.
|
[11] |
HAM T J,ARAGÓN J L,MARTONOSI M.DeSC:decoupled supply-compute communication management for heterogeneous architectures[C]//Proceedings of the 48th International Symposium on Microarchitecture.New York,USA:ACM Press,2015:191-203.
|
[12] |
WANG Z,NOWATZKI T.Stream-based memory access specialization for general purpose processors[C]//Proceedings of the 46th International Symposium on Computer Architecture.New York,USA:ACM Press,2019:736-749.
|
[13] |
YU Lei,JING Naifeng,WANG Qin.A hybrid-grained reconfigurable array architecture based on data flow driven[J].Microelectronics & Computer,2019,36(5):19-22,28.(in Chinese)俞磊,景乃锋,王琴.一种基于数据流驱动的混合粒度可重构阵列架构[J].微电子学与计算机,2019,36(5):19-22,28.
|
[14] |
YANO J,MATSUZAKI H,HATSUDA K.Memory system with write coalescing:US 7904640[P].2011-03-08.
|
[15] |
ROSENFELD P,COOPER-BALIS E,JACOB B.DRAMSim2:a cycle accurate memory system simulator[J].IEEE Computer Architecture Letters,2011,10(1):16-19.
|
[16] |
YIN Wenzhi,ZHAO Zhongyuan,MAO Zhigang,et al.A fast and efficient coarse-grained reconfigurable compilation framework[J].Microelectronics & Computer,2019,36(8):45-48,53.(in Chinese)尹文志,赵仲元,毛志刚,等.一种快速高效的粗粒度可重构架构编译框架[J].微电子学与计算机,2019,36(8):45-48,53.
|
[17] |
REAGEN B,ADOLF R,SHAO Y S,et al.MachSuite:benchmarks for accelerator design and customized archi-tectures[C]//Proceedings of IEEE International Symposium on Workload Characterization.Washington D.C.,USA:IEEE Press,2014:110-119.
|
[18] |
WEINBERG J,MCCRACKEN M O,STROHMAIER E,et al.Quantifying locality in the memory access patterns of HPC applications[C]//Proceedings of ACM/IEEE Conference on Supercomputing.Washington D.C.,USA:IEEE Press,2005:50.
|
[19] |
BINKERT N,BECKMANN B,BLACK G,et al.The gem5 simulator[J].ACM SIGARCH Computer Architecture News,2011,39(2):1-7.
|
[20] |
BUTKO A,BRUGUIER F,GAMATIÉ A,et al.Full-system simulation of big.LITTLE multicore architecture for performance and energy exploration[C]//Proceedings of the 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip.Washington D.C.,USA:IEEE Press,2016:201-208.
|
[21] |
VOITSECHOV D,ETSION Y.Single-graph multiple flows:energy efficient design alternative for GPGPUs[C]//Proceedings of the 4th Annual International Symposium on Computer Architecture News.Washington D.C.,USA:IEEE Press,2014:205-216.
|